Multiplier in logisim

Hi,
I noticed that multiplier in logisim has the same number of bits for inputs and outputs not the same as its explained in the pdf of project 1 ( photos attached ), so should i add bits to each input or is there something i can do in logisim that fixes the problem?

Indeed, you should extend the bit-width of the inputs, using splitters or bit extenders from Logisim’s “wiring” library.